[정보통신]디지털 시계의 설계

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2010.07.20 / 2019.12.24
  • 21페이지 / fileicon ppt (파워포인트 2003)
  • 평가한 분이 없습니다. (구매금액의 3%지급)
  • 1,600원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
목차
1.Digital Clock 의 이론 및 구성
2.시계의 VHDL Source Program
3.Timing Simulation
4.동작과정 분석, 구현
본문내용
1. Digital Clock 의 이론 및 구성
1초 발생기(Seconder) ; 1MHz분주기
클럭주기가 1MHz인 입력을 받아서 1000000번이 경과되면 1초가 되도록 설계한다.
컴포넌트: sep.vhd
초의 2자리 수를 각 자리수로 분리한다.
60진 Second_Count, 0~59….s_clk
60진 Minute_Count, 0~59….m_clk
24진 Hour_Count, 0~23…..h_clk

2. sep.vhd: 초의 2자리 수를 각 자리수로 분리하는데 사용한다.
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
ENTITY SEP IS
PORT(T :IN INTEGER RANGE 0 TO 59;
TEN , ONE :OUT INTEGER RANGE 0 TO 9);
END SEP;
ARCHITECTURE BEHAV OF SEP IS
BEGIN
PROCESS(T)
BEGIN
IF T <=9 THEN TEN <=0; ONE <=T;
ELSIF T <=19 THEN TEN <=1; ONE <=T-10;
ELSIF T <=29 THEN TEN <=2; ONE <=T-20;
ELSIF T <=39 THEN TEN <=3; ONE <=T-30;
ELSIF T <=49 THEN TEN <=4; ONE <=T-40;
ELSIF T <=59 THEN TEN <=5; ONE <=T-50;
ELSE TEN <=0; ONE <=0;
END IF;
END PROCESS;
END BEHAV;

2. 1초 발생기 : Seconder.vhd
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;
ENTITY SECONDER IS
PORT(CL, RST : IN STD_LOGIC;
SEG5,SEG6 : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END SECONDER;
ARCHITECTURE MIXED OF SECONDER IS

FUNCTION DIS_SEG(CNT : INTEGER RANGE 0 TO 15) RETURN STD_LOGIC_VECTOR IS
VARIABLE SEG_DEC : STD_LOGIC_VECTOR (7 DOWNTO 0);
BEGIN
CASE CNT IS when 0 => seg_dec := "11000000";
when 1 => seg_dec := "11111001";
when 2 => seg_dec := "10100100";
when 3 => seg_dec := "10110000";
when 4 => seg_dec := "10011001";
when 5 => seg_dec := "10010010";
when 6 => seg_dec := "10000010";
when 7 => seg_dec := "11011000";
when 8 => seg_dec := "10000000";
when 9 => seg_dec := "10011000";
when others => seg_dec := "11111111";
END CASE;
자료평가
    아직 평가한 내용이 없습니다.
회원 추천자료
  • 스마트폰 중독사례와 해결방안
  • 정보대학원, 2010.12스마트폰 이용형태와 이용환경이 갖는 사회문화적 함의에 관한 연구, 하성보, 공주대학교 대학원 석사논문,2011스마트폰 중독과 예방에 대한 인식연구 : 스마트폰 이용자와의 질적 심층인터뷰를 중심으로 = Research on Awareness and prevention of Smartphone addiction, 손은하,2011한국방송통신위원회. 2011년 하반기 스마트폰 이용실태 조사한국방송통신위원회. 2012년 상반기 스마트폰 이용실태 조사☑ 참고 인터넷 사이트 한국인터넷 진흥원방송

  • 마이크로프로세서 응용 및 실험 - ADC & UART
  • 통신하나의 데이터 선을 이용하여 한번에 1비트씩 데이터를 전송하는 방법.원거리 통신에 주로 이용시작 비트 : 데이터 전송 시작을 알려줌(“1”)데이터 비트 : 전달하고자 하는 정보를 담은 비트로 5~9비트정지 비트 : 데이터 전송 끝을 알리는 비트(1 or 2bit)패리티 비트 : 데이터의 유효성 체크 비트(1bit) 통신속도 결정우리는 9600bps를 사용하기 위하여 URBB = 103으로 설정하였다. 관련 레지스터1) UCSRARXC : USART Receive CompleteTXC : USART Transmit Compl

  • [교육공학] 유비쿼터스 교육
  • 정보격차 해소를 위한 보편적 서비스 도입 : 세계 최고 수준의 초고속 인터넷 보급률을 내세우는 우리나라에 있어서도 여전히 정보격차 해소문제는 커다란 사회 문제이다. 상대적으로 정보화에서 소외되고 있는 광범위한 소외계층에 대한 정보화 지원을 강화하여 디지털 기회를 확대할 수 있는 정책과 이들 계층에 대한 정보통신 서비스를 보편적 서비스 개념으로 전환해 지원하는 방안을 모색해야 할 것이다.■ 개인 정보보호 등을 위한 법, 제도 강

  • HCI인간과 컴퓨터의 대화
  • 정보 가시화(Information Visualization) 정보의 가치는 존재에 있는 것이 아니라 소비에 있다. 흔히들 데이터와 정보를 구분할 때 데이터(data)는 가공되지 않은 자료이고 정보(information)는 가공 내지 논리적으로 설계한 자료로서 사용자에게 의미가 있는 데이터라고 말한다. 똑같은 데이터라고 하여도 어떻게 가공이 되는가에 따라 사용자에게 주는 의미가 다르며 따라서 가치도 달라진다. 보다 효율적으로 가공된 데이터는 보다 높은 가치를 가질 것이다. 즉

  • [전략경영] 삼성 반도체 산업의 전략 경영
  • 정보와 기술을 공유 하는 등 협력하여 시너지 효과를 내기 위해 노력하고 있다. III-3. 우리들의 전략적 제언1. 메모리 부분▶메모리 기능 하나의 칩으로 통합메모리는, 각 제품마다 다른 메모리 설계가 필요하기 때문에 각기 다른 생산라인이 달리 필요하다는 단점이 있다. 매 제품을 개발할 때마다 하지만 하나의 칩 안에 여러 제품에 사용 가능한 통합적인 메모리칩을 개발해 낸다면, 기술 통합 및 생산설비 축소 등 범위의 경제의 효과를 기대할 수

오늘 본 자료 더보기
  • 오늘 본 자료가 없습니다.
  • 저작권 관련 사항 정보 및 게시물 내용의 진실성에 대하여 레포트샵은 보증하지 아니하며, 해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용, 무단 전재·배포는 금지됩니다. 저작권침해, 명예훼손 등 분쟁요소 발견시 고객센터에 신고해 주시기 바랍니다.
    사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
    개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
    copyright (c) 2003 reoprtshop. steel All reserved.