디지털 논리회로 111 detection

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2017.05.30 / 2017.05.30
  • 9페이지 / fileicon hwp (아래아한글2002)
  • 평가한 분이 없습니다. (구매금액의 3%지급)
  • 1,000원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
하고 싶은 말
자일링스를 이용한 111detection 이다.
1이 3개 연속 들어온 경우 감지하여 output으로 1을 출력하며 연속된 111 이 3번 나온 후 더이상 출력 하지 않는다.
본문내용
●mealy code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity mealy is
Port(
m_clk : in STD_LOGIC;
m_reset : in std_logic;
m_input : in STD_LOGIC;
m_s : in STD_LOGIC;
m_output : out STD_LOGIC;
l_output : out STD_LOGIC_VECTOR (0 to 3)
);
end mealy;
architecture Behavioral of mealy is

component counter_mealy
Port (
m_clk : in STD_LOGIC;
m_reset : in STD_LOGIC;
m_input : in STD_LOGIC;
m_output : out STD_LOGIC_VECTOR (0 to 3)
);
end component;
자료평가
    아직 평가한 내용이 없습니다.
회원 추천자료
  • 기출응용 레포트
  • 111. 명사 자리 문제전치사(due to)의 목적어 자리에 올 수 있는 품사는 명사 (D) confusion 입니다.disbursement 지불allocated 할당된annual 연간fund 자금해석 : 연간 할당되는 프로젝트 자금의 지불을 둘러싼 혼동 때문에 회사의 여러 부서들 간에 좋지않은 감정이 생겼다.112. 시제 문제Before 절에 주어(the speaker)와 목적어(her presentation)는 있지만 동사가 없으므로, 빈칸에는동사 (A), (B), 또는 (D)가 와야 합니다. before 로 시작되는 시간절에서는 ‘연설자가 발표를

  • 네트워크 관리사 요약 및 정리본
  • 논리적 연결없이 각 패킷이 독립적으로 목적지에 전송(비연결지향형)- 서로 다른 경로를 통해 목적지에 도달할 수 있다.- 동적 라우팅이 가능하며, 라우팅 정보로써 송수신측 주소가 포함된다.Step.7 종합 정보 통신망(ISDN)- 기존의 음성, 데이터, 영상등의 서비스등을 하나의 회선상에서 통합시켜 제공하는초고속, 고품직, 고기능의 디지털 통신망- 통신망 전역에 걸친 디지털화- 협대역ISDN은 기존의 전화망과 X.25 패킷 교환 데이터 통신망을 근간으로

  • 자주 사용하는 회사서식 및 계약서 등 샘플서식 2300종
  • 디지털경제로 전화하는데 공헌한 공공 및 민간부문에 수상하고 있음- 2003년말까지 정보기술을 활용하여 북유럭국가중에서 가장 효율적인 디지털 행정부를 만들겠다는 목표를 수립. 개인들이 인터넷을 통하여 행정서비스를 받을 수 있도록 인터넷을 보급하는 한편, 공공행정내 정보기술을 적극 활용하여 정부효율화를 도모하는 정책을 제시- 2003년까지 모든 시민에게 새로운 인터넷 서비스를 제공함으로써 시민들이 민주주의, 정책의사결정, 문화활

  • [논리회로] 디지탈 도어록 시스템
  • 1114개의 비밀번호가 올바르게 입력된 상태.6상태101비밀번호 입력중 틀린 번호 입력상태 또는 올바른비밀번호 입력 후 #을 눌렀을 때의 상태3.2 State diagram.3.3 State table. CurrentStateNext StateABCx=0x=1x=2x=3x=4x=5x=6x=7x=8x=9x=*x=#....0000000100110010011001110101010011001101111111101010101110011000000000000000000000000000000000000001000dddd001101101101101101101101011101101101000dddd011101010101101101101101101101101101000dddd01010110110110110110

  • 고급디지털 회로설계 - 111 DETECTOR 설계
  • 고급디지털 회로설계 - 111 DETECTOR 설계 설계 내용 VHDL을 이용하여 연속적인 111을 detect하여 111의 개수를 count 한다. 또한, 111이 15번 count되면 동작을 멈추어야 하며, S=1이 입력되었을 경우에는 처음으로 되돌아가는 프로그램을 설계한다.2. State Diagrammealy 형태를 이용하여 state diagram을 그렸다.a, b, c, d, e, f, g, h는 detector을 위한 mealy이고, option의 조건을 만족시키기 위해 STOP과 WORK로 state를 또 나누어 동작하도록 설계하였다.3. 결과 예측input을 다음과 같

오늘 본 자료 더보기
  • 오늘 본 자료가 없습니다.
  • 저작권 관련 사항 정보 및 게시물 내용의 진실성에 대하여 레포트샵은 보증하지 아니하며, 해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용, 무단 전재·배포는 금지됩니다. 저작권침해, 명예훼손 등 분쟁요소 발견시 고객센터에 신고해 주시기 바랍니다.
    사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
    개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
    copyright (c) 2003 reoprtshop. steel All reserved.