[[AVR 스탑워치(StopWatch) 만들기]]AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2015.10.28 / 2015.10.28
  • 11페이지 / fileicon zip (압축파일)
  • 평가한 분이 없습니다. (구매금액의 3%지급)
  • 5,000원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
하고 싶은 말
제가 직접 실험하고 공부한 레포트를 정리해서 작성한 압축문서입니다.

[구성 : 보고서, 회로도, 소스코드, 결과사진/동영상]

보고서에 실험환경이나 사용된 부품리스트 등이 나와 있기때문에
제공되는 소스코드와 회로도대로만 하시면 의도된 결과가 나올 것 입니다.
소스코드에는 주석이 디테일하게 기술되어 있고 실험마다 해설을 서술하여
공부하시고 이해하시기 좋도록 작성된 보고서입니다.

실행결과에 대한 사진과 동영상 파일도 첨부되어서
실험하시거나, 보고서를 작성하실 때 도움이 되실거라 생각합니다.


동작영상은

http://happyjaryo.blogspot.kr/2016/11/avr-stopwatch.html

에서 확인하실 수 있습니다.
목차
Ⅰ. 목 표

Ⅱ. 개발환경

Ⅲ. AVR을 이용한 스탑워치 설계
1. 부품리스트
2. 회로도
3. 소스코드
4. 실험결과
5. 원리 및 동작해석
본문내용
Ⅰ. 목 표

AVR ATmega128을 이용하여 스탑워치(Stop Watch)를 구현해본다. 분:초:프레임(1/100) 의 구성으로 00:00:00 ~ 59:59:99 의 범위안의 시간을 카운팅한다. 그리고 Start, Stop, Reset 스위치 버튼을 이용해서 스탑워치의 시간을 시작하고, 멈추고, 초기화시킬 수 있도록 한다.
AVR의 Timer/Counter 기능을 이용하고 7-Segmnet를 이용하여 숫자를 출력하여 스탑워치를 구현한다.

Ⅱ. 개발환경

· OS : Windows
· Program tool : AVR Studio, Atmel studio
· Compiler : AVR-GCC
· Language : C language
· AVR MCU : ATmega128

<중략>
#include <avr/interrupt.h>
#include <util/delay.h>

#define Fnd_delay 3 // FND Dynamic display 방식의 출력지연(mSec)

unsigned int min = 0, sec = 0;
unsigned int min_d1,min_d2,sec_d1,sec_d2,frm_d1,frm_d2;
unsigned int stop_flag = 0;
unsigned int count=0;

<중략>

위의 결과와 같이 시:분:프레임이 세그먼트에 1/100 Sec 단위로 표시되는 것을 확인 할 수 있다. Stop 버튼을 누르면 시간이 멈추고 Start 버튼을 누르면 다시 시간이 카운팅 된다. Reset 버튼을 누르면 시간이 00:00:00으로 초기화 된다.

- 원리 및 동작해석

· 1/100 Sec 단위 카운팅

본 스탑워치는 1/100 Sec 단위로 카운팅되면서 시간이 표시된다. 때문에 AVR의 Timer/Counter 기능을 이용하여 1/100 Sec 즉, 100hz의 주파수를 만들어 줄 필요가 있다.
그러기 위해서 16 bit인 Timer/Counter 1 를 CTC 모드로 사용하였다. 그리고 프리스케일러의 분주비는 256, OCR1A 의 값은 624이므로 계산은 다음과 같다.
자료평가
    아직 평가한 내용이 없습니다.
회원 추천자료
  • 창의 공학 설계 결과 보고서 TTL IC를 이용한 스탑 워치
  • 스톱워치를 설계하고 있었습니다. 스톱워치를 만들기 위해 우선 간단하게 발진 부분과 카운터 부분 디스플레이부분으로 나누어서 조립을 하여 결과를 보였었습니다. 하지만 스위치의 체터링으로 인해 동작의 오류와 9V 건전지의 전류의 딸림으로 더욱더 어려움으로 빠져 가고 있었습니다. 하지만 몇 번의 토의와 회의로 회로를 수정하게 되었습니다. 처음보다 더 정확하고 체터링을 없앴으며 작품다운 작품을 만들 수 있게 되었습니다. (동작원리)처

  • MP3 포맷 레포트
  • ATMEGA128의 시스템 리셋ATMEGA128의 리셋 소스는 5가지가 있음(가) Power-on Reset전원전압 VCC가 Power-on Reset Threshold(VPOT) 이하일 때 MCU가 리셋(나) External Reset/RESET 핀에 지정된 최소폭(1.5 us) 이상의 L레벨 펄스가 입력되어 MCU가 리셋(다) Watchdog Reset워치독 타이머에서 지정된 주기 이상이 경과되어 워치독 기능이 동작함으로써 MCU가 리셋(라) Brown-out Reset전원전압 VCC가 지정된 시간(2 us) 이상동안 Brown-out Reset Threshold(VBOT) 이하로 떨어져 Brown-out Detector가 동작함

  • [전자공학]RF를 이용한 장애물 피하는 모형자동차
  • 소스#include//// PORT2 ////bit P20 = 0xA0;bit P21 = 0xA1;bit P22 = 0xA2;bit P23 = 0xA3;bit P24 = 0xA4;bit P25 = 0xA5;bit P26 = 0xA6;bit P27 = 0xA7; // 8051(AT89S51) 초기화 함수void initport(void); // 포트 초기화 void inituart(void); // UART 초기화void inittimer(void); // 타이머(Timer0 and Timer1) 초기화void initexternalint(void); // 외부 인터럽트 초기화void writeSBUF(unsigned char c);unsigned char readSBUF(void);void delayms(unsigned int msec); // 시간 지연 함수void delayus(unsigned int usec);unsigned char RXd

  • 시계산업의 패션혁명 스와치(Swatch)의 성공전략
  • 시계를 단순히 시간을 알려주는 기계 장치를 넘어 패션과 자기표현의 한 방법으로 바꿔놓았다. 한발 더 나아가 고객들은 스와치 그룹의 시계를 사회적 지위나 능력을 과시하는 수단으로 삼기도 한다. “스와치는 도전이고 변혁이고 즐거움이다. (Swatch is provocation, innovation, and fun – forever.)”니콜라스 하이에크, 스와치 그룹 회장.스와치 그룹의 기업문화패션시계를 만드는 스와치의 경우는 10대 후반, 20대 초반의 직원들이 캐쥬얼 복장으로 일한다.

  • [국어정서법] 어문규정 준수 실태- 가전제품과 화장품 사용설명서를 중심
  • 초안을 작성→ 팀 검토→ 다시 매뉴얼 팀 작업→ 관계부서 검토를 거쳐 개발 등급에 따라 소비자 모니터링 까지 마친 후 제작에 들어 갑니다. (4) 설명서 제작 시 특히 고려하고 계신 부분을 말씀해 주십시오.☞ 설명서 제작 시 가장 중요한 점은, 제품에 대한 사용성의 이해도를 높이는 것입니다. 개발자로부터 10줄 이상 받은 내용을 한 줄로 줄여 설명할 떄도 있고, 2줄로 받은 내용을 풀어서 10줄로 만들어 설명할 때도 있습니다. 개발자가 개발자 입장

사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
copyright (c) 2003 reoprtshop. steel All reserved.