[디지탈공학] 디지탈 스톱워치(Digital stop watch)

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2009.01.05 / 2019.12.24
  • 14페이지 / fileicon ppt (파워포인트 2003)
  • est1est2est3est4est5 1(구매금액의 3%지급)
  • 2,000원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
하고 싶은 말
타이머 IC 및 논리 소자를 이용하여 디지털 스톱 워치를 제작한 과정과 이론을 소개한 프레젠테이션 입니다. 각 제작 흐름 순서대로 동작원리를 자세한 그림으로 표현하였습니다. 디지탈 논리회로를 이해 하는데 많은 도움이 될 것입니다.
목차
제작 개요 및 목표

스톱워치의 동작

스톱워치의 내부적 순서

스톱워치 내부 클럭의 흐름

전원부 구성

Ne555의 주기 계산

IC 내부 및 동작원리

JK 플립플랍 진리표

IC 조합

리셋부의 원리
본문내용
*제작 개요 및 목표*
개요 : 타이머 IC 및 논리 소자를 이용하여 디지털 스톱 워치를 제작한다.
목표 : 논리소자를 스톱워치 설계에 이용하여 디지털 스톱워치의 원리를 이해하고 논리소자의 원리를 이해한다.
*스톱워치의 동작*
O1. 스위치를 누르고 있는동안의 카운팅
- 1/100 sec 속도의 카운팅 (시계역할)
O2. 리셋버튼을 이용한 초기화
- 스톱워치를 대기상태로 전환
자료평가
  • 자료평가0자료평가0자료평가0자료평가0자료평가0
  • 쓸 정보가 없네요 아쉽습니다
  • dla6***
    (2022.08.16 12:51:34)
회원 추천자료
  • [공연영상]특수영상효과에 관한 연구
  • Contemporary Cinema., P. Hayward & T. Wollen(ed.), London: BFI, Future Visions, 1993. Thorburn, D., Television as an Aesthetic Medium., Critical Studies in Mass Communication, 1987. Berger, J., , BBC & Penguin books, 1977. Saxyby Graham, Practical Holography, new York : Prantic-Hall, 1994. Wilson Stephen, Interactive Art and Cultural Change, Leonardo, Vol. 23, NO. 2/3, 1990. van den Boom, Digitaler Schein-oder: Der Wirklichkeitsverlust ist kein wirklicher., in Florian Rotzer(ed.), Digitaler Schein: Asthetik der elektronischen Medien(Frankfurt a. M, : Suhrkamp, 1991.

  • 인터넷 사용법에 대하여...
  • watches/sightings, updated hourly.Solar = 3-Hourly solar & Geophysical report, daily is the daily one-Avalanche Forecast mail snowfall@dcs.glasgow.ac.uk with a blank message-Emergency Info. telnet oes1.oes.ca.gov 5501 or 134.186.127.1 5501offers: State of Cali. - Governors Office of Emergency Servicesgopher vita.orgoffers: Disaster Information Center with disaster reports and data.-Flood Gopher/Info telnet idea.ag.uiuc.edu or telnet 128.174.123.126telnet exnet.iastate.edu or telnet 129.186.20.200offers: Tons of files for coping with floods and hurricanes (Login: flood)-NOAA telnet

  • [전산] computer & information
  • 공학 분야에서 수치해석과 관련된 것을 효율적으로 처리.◈COBAL (COmmon Business-Oriented Language)=>1959년 CODASYL에 의해 상업에 있어서 표준 프로그램언어로 소개됨.언어의 구문은 유용하게 영어와 비슷하며, 단점은 속도와 간소성의 결여이다.CODASYL (COnference of DAta SYstem Language)=>자료 시스템 언어 회의 50년대 말에 미국정부,컴퓨터 생산자,사용자 협의 단체들로 구성된 회의. 코볼언어를 제안.cf) .1968 => ANSI(The american national standard institute)에서 코볼을 표준화.

  • [컴공] COMPUTER & INFORMATION
  • 공학 분야에서 수치해석과 관련된 것을 효율적으로 처리.◈COBAL (COmmon Business-Oriented Language)=>1959년 CODASYL에 의해 상업에 있어서 표준 프로그램언어로 소개됨.언어의 구문은 유용하게 영어와 비슷하며, 단점은 속도와 간소성의 결여이다.CODASYL (COnference of DAta SYstem Language)=>자료 시스템 언어 회의 50년대 말에 미국정부,컴퓨터 생산자,사용자 협의 단체들로 구성된 회의. 코볼언어를 제안.cf) .1968 => ANSI(The american national standard institute)에서 코볼을 표준화.

  • [경영경제]침체된 시계산업의 부활
  • 공학-작업경제학-, 영지문화사2. 안귀덕 외, 1992, 청소년 문화의 실상과 문제, 한국정신문화연구원3. 김달현, 1994, 노년학, 교문사4. 박영호 외, 1996, 마케팅, 덕문사5. 갈종호, 1983, 시계 디자인에 관한 연구-휴대용 시계를 중심으로, 중앙대 학교 대학원6. 김병각, 1983, 한국 Watch-desing에 관한 연구-손목시계의 의장 design을 중심으로 , 한양대 교육대학원7. 박성룡, 1995,시계 개론, 도서출판 월간 시계사8. 정경원,1996,세계 디자인 기행, 미진사 9. 배리 하워

오늘 본 자료 더보기
  • 오늘 본 자료가 없습니다.
  • 저작권 관련 사항 정보 및 게시물 내용의 진실성에 대하여 레포트샵은 보증하지 아니하며, 해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용, 무단 전재·배포는 금지됩니다. 저작권침해, 명예훼손 등 분쟁요소 발견시 고객센터에 신고해 주시기 바랍니다.
    사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
    개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
    copyright (c) 2003 reoprtshop. steel All reserved.