[공학] 스탑워치 VHDL 설계

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2013.12.23 / 2019.12.24
  • 10페이지 / fileicon hwp (아래아한글2002)
  • 평가한 분이 없습니다. (구매금액의 3%지급)
  • 2,000원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
하고 싶은 말
본문내용
☉스탑워치 VHDL 설계☉

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity stop is

PORT(
CLK : in std_logic;
SW_A : in std_logic;
SW_B : in std_logic;
SW_C : in std_logic;
SW_D : in std_logic;
SEG_DATA : out std_logic_vector(7 downto 0);
SEG_COM : buffer std_logic_vector(7 downto 0)
);
end stop;

architecture arc of stop is

signal mode : std_logic_vector(2 downto 0);
signal SW_A_Q1, SW_A_Q2 : std_logic;
signal SW_B_Q1, SW_B_Q2 : std_logic;
signal SW_C_Q1, SW_C_Q2 : std_logic;
signal SW_D_Q1, SW_D_Q2 : std_logic;
signal msec : integer range 0 to 9999;
signal seg5,seg6 : std_logic_vector(7 downto 0);
signal seg7,seg8 : std_logic_vector(7 downto 0);
signal temp : integer range 0 to 9999;
signal temp1 : integer range 0 to 9999;
signal cnt : integer range 0 to 999;

자료평가
    아직 평가한 내용이 없습니다.
회원 추천자료
  • [인간공학] OLFACTORY-엠씨스퀘어 개발을 위한 후각자극의 종류와 그 패러다임 변화에 따른 학습능력 향상 연구
  • 공학 기술개발 사업에 관한 연구 : 후각/미각 감성 측정 기술 및 DB개발』, 과학기술부, 1998.양성봉, 안정수 & 유미선, 『후각과 냄새물질』,프리미엄엔지니어링, 2004. 오홍근,『(피부미용인을 위한)아로마테라피』, 훈민사, 2002.오홍근, 최진영, 전겸구, 이준석, 박동기, 최성동 & 전태일,『3종의 아로마시너지 브랜디향의 항 스트레스와 각성효과 및 3종 사이의 효과에 대한 비교 연구』, 대한신심스트레스학회, 2000.이순녀, 안경민 & 박경희, 『아로마테

  • [산업정보시스템] ARENA Simulation을 이용한 ATM(Automated Teller Machine) Process의 개선
  • 공학도들의 학문수양과 발전에 큰 도움이 될 것입니다.귀하의 협조에 깊이 감사드립니다.다음은 ATM(Auto Teller Machine)에 대한 만족도 조사 및 제품의 작업수행시간 파악에 대한 항목입니다. 각 항목마다 빠짐없이 신중하고 성실하게 응답해 주시면 감사하겠습니다. 일반사항 및 선호기능1.평소 어떤 ATM작업을 주로 수행하십니까? (①~⑤를 합하여 100%가 되도록)①입금( %) ②출금( %) ③계좌이체( %) ④계좌조회( %) ⑤기타( %)2.ATM 작업에 어떤 수단을

  • [유체실험] Fluid mechanics(Reynolds number) ,레이놀즈 수
  • 공학 과목에서 층류와 난류에 대한 개념과 거동에 대한 내용과, 수도 관로 속에서 물이 흐를때의 거동을 배우고 이번에 유체역학을 배우면서 기구를 통한 구체적인 실험을 통해 직접적으로 확인을 함으로써 이론적으로 배웠던 내용과 실제 유체가 흐르는 관 속에서의 시각적인 결과를 체험하는 기회가 되었다. 실험기구는 레이놀드수에 대한 실험을 할 수 있도록 규격화된 장비를 사용하게 된다. 큰 수조가 있고, 일정한 속도로 물이 흐를 때 위에서 착

  • [경영전략] 닌텐도 Wii의 차별화 전략 분석
  • 공학’이 아니라‘소비과학’이라는 인식의 제고가 필요하다는 지적이다. 삼성경제연구소는 28일 ‘컨버전스의 성공조건’이란 보고서에서“무조건 많은 기능을 결합하는 과잉 컨버전스는 소비자들에게 기능피로감을 주고 불만을 야기할 수 있다”며 사용이 쉽고 기능과 디자인을 단순화한 제품이 성공하는 경향이 나타나고 있다고 밝혔다. 또한 경쟁사보다 저렴한 가격과 경쟁사와 다른 타깃팅도 성공요인으로 꼽을 수 있다. 경쟁사 제품 가격의 1/2

  • 유체압력강하(Fluid Circuit Experiment) 결과 레포트
  • 끝을 봐서 높이차를 잴 때, 한 명이 아닌 여러명이 쟀기 때문에, 각자 읽는 기준이 달랐다. 낮은 유속에 경우 1mm의 차이만 나도 오차율이 매우 커지기 때문에, 이런것들이 복합적으로 작용 하였던 것 같다. 6. 참고 자료• 화학공학실험 / 성기천, 이진휘, 김기준 / (주)사이텍미디어• Fluid Mechanics for Chemical Engineers(화학공학 유체역학) / James O.Wilkes / 역자 : 김민찬, 윤도영 / 아진목차1. 실험 목표2. 이 론3. 실험 방법4. 실험 결과5. 고찰6. 참고 자료

오늘 본 자료 더보기
  • 오늘 본 자료가 없습니다.
  • 저작권 관련 사항 정보 및 게시물 내용의 진실성에 대하여 레포트샵은 보증하지 아니하며, 해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용, 무단 전재·배포는 금지됩니다. 저작권침해, 명예훼손 등 분쟁요소 발견시 고객센터에 신고해 주시기 바랍니다.
    사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
    개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
    copyright (c) 2003 reoprtshop. steel All reserved.