[논리회로설계] FPGA kit를 이용한 장애물 회피 무선 조종 로봇

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2011.11.02 / 2019.12.24
  • 14페이지 / fileicon hwp (아래아한글2002)
  • 평가한 분이 없습니다. (구매금액의 3%지급)
  • 1,400원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
목차
목 차
1. 개 요

가. 진행과정
2. 관련이론 및 작동원리
가. 프로그램 구조
나. 무선조종모드
1) 구동방법
다. 자동조종모드 (미로통과모드)
1)구동방법

3. 설계방법 및 소스코드
가. Motor.vhd (전체적인 몸체, 자동조종모드)
나. Maze.vhd (미로통과, 자동조종모드)

4. 토의

가. 1주차
1) Achievement

2) Unavailable & Improvement

3) Research

나. 2주차
1) Achievement

2) Unavailable & Improvement

3) Research

다. 3주차
1) Achievement

2) Unavailable, Improvement & Research

라. Discussion


본문내용
1.1. 자동조종모드 (미로통과모드)
1.1.1. 구동방법
RF_DATA = “110” 이면 for_sim 변수에 ‘1’이 들어가고 미로를 통과하는 컴포넌트(maze.vhd) 소스의 펄스 값이 모터 출력값으로 들어감

자동모드로 전환되면 Maze 소스가 센서(sensor.vhd)와 좌우 모터 속도 컴포넌트(motor_maze.vhd)를 포함하여 전체적으로 제어

RF_DATA = “110”을 한 번 더 누르면 다시 무선조종모드로 전환
1. 설계방법 및 소스코드
1.1. Motor.vhd (전체적인 몸체, 자동조종모드)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

입출력 포트 선언
entity motor is
port( CLK_4M : in std_logic;
RF리모콘 데이터
RSTB : in std_logic;
RF_DATA : in std_logic_vector(2 downto 0);
MTL_A : out std_logic;
MTL_A, MTL_B, MTL_NA, MTL_NB : 좌측모터 출력
MTR_A, MTR_B, MTR_NA, MTR_NB : 우측모터 출력
MTL_B : out std_logic;
MTL_NA : out std_logic;
MTL_NB : out std_logic;
MTR_A : out std_logic;
MTR_B : out std_logic;
MTR_NA : out std_logic;
MTR_NB : out std_logic;
센서입출력 및 LED
SEN_IN : in std_logic_vector (6 downto 0);
EL_7L : out std_logic_vector (6 downto 0);
LED : out std_logic_vector (6 downto 0);
test_pin_1 : out std_logic;
test_pin : out std_logic
);
자료평가
    아직 평가한 내용이 없습니다.
회원 추천자료
  • [기술경영] 웨어러블 로봇
  • 이용할 수 있다. ◉日서 사람태우고 두발로 걷는 로봇 개발사람을 태우고 울퉁불퉁한 길을 걷거나 계단을 오르내릴 수 있는 두발로 걷는 로봇이 일본에서 개발됐다. 이 로봇이 실용화되면 신체장애자용 이동장치나 인간형 로봇에 응용이 가능할것으로 기대된다. 와세다(早稻田)대학연구팀과 벤처기업이 공동으로 개발한 「WL-16R」라는 이름의 이 로봇은 높이 128㎝, 무게 76㎏으로 탑승자가 좌석 양쪽에 부착된 조종간을 조작해 움직인다. 한쪽 다리

  • [원서 번역] [Crafting Qualitative Research - Working in the Postpositivist Traditions]
  • 이용하였다(Baert, 1998; Craib, 1992). 기든스는 이러한 다양한 철학적인 맥락들을 사회적 행위의 구조적인 기초와 사회적 구조의 개인의 구성주의적인 기초 양쪽 모두를 논리적으로 일관되게 설명하도록 조직해 냈다(Giddens, 1976; 1979; 1984). 크래이브Craib(1992)와 카셀스Cassells(1993)와 같은 논평가들에게 구조화 이론은 마르크스주의나 구조 기능주의(structural functionalism)와 같은 방식에 따른 전통적인 “거대 이론”의 공식적인 주형mold을 통해 만들어진 진정한 주

  • [유비쿼터스] 유비쿼터스(Ubiquitous)의 모든것
  • 로봇을 인공적으로 만들어서 미소한 운동이나 작업을 시키려고 하는 것이다. 즉 개미의 눈이나 촉 각에 해당하는 각종 센서, 뇌나 신경에 해당하는 논리 회로, 팔과 다리에 대응하는 마이크로 메카니즘, 그것을 움직이게 하는 마이크로 액추에이터를 하나로 하는 시스템을 일컫는다. 크기는 수mm에서 수nm까지에 이르며 수cm크기라 해도 마이크로 머신이라고 불리는 경우도 있다.마이크로 머신의 아이디어가 제창된 초기에는 혈관내를 돌아다니면서 환

  • [안전공학과]안전기사 자격증 줍기
  • 이용한 세척작업.- 밀폐된 장소에서 행하는 용접작업 또는 습한장소에서 행하는 전기용접 작업.- 분말,원재료등을 담은 호퍼.사이로등 저장탱크의 내부작업.- 주물 및 단조 작업.- 전압이 75 V 이상인 정전 및 활선작업.- 굴착면의 높이가 2 m 이상인 지반 굴착 작업.- 비계의 조립 해체 또는 변경 작업.- 맨홀 작업.- 로봇 작업.- 산소결핍 장소에 있어서의 작업.- 연 취급 업무에 관계되는 작업.- 방사선 업무에 관계되는 작업.- 콘크리트 공작물의 해

  • [유통관리사시험] 유통관리사2급모의고사및2006년~2008년2차시험및핵심요약
  • 회로 전환되어 지식을 바탕으로 하는고도의 정보 통신기술의 진전이 가속화됨으로써 자료의 이용가치가 높아 지고 유통되는 정보량이 증대되며 사회구성원들에 의한 정보의 접근성이 ,용이하게 된다.83 정보화 사회의 문제점 중 대립과 소외감이 있는데 이는 소수의 아는 사람과다수의 모르는 사람들 사이에서 사회적 갈등이 심화되고 이에 따라 개인의 ,고립화는 증가하게 된다 인간의 심리적 측면을 살펴보면 인간이 기계를 지 .배하기 보다는

오늘 본 자료 더보기
  • 오늘 본 자료가 없습니다.
  • 최근 판매 자료
    저작권 관련 사항 정보 및 게시물 내용의 진실성에 대하여 레포트샵은 보증하지 아니하며, 해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용, 무단 전재·배포는 금지됩니다. 저작권침해, 명예훼손 등 분쟁요소 발견시 고객센터에 신고해 주시기 바랍니다.
    사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
    개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
    copyright (c) 2003 reoprtshop. steel All reserved.