[전자공학]VHDL-디지털 시계

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2006.08.11 / 2019.12.24
  • 20페이지 / fileicon hwp (아래아한글2002)
  • 평가한 분이 없습니다. (구매금액의 3%지급)
  • 1,000원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
하고 싶은 말
VHDL 수업하믄서 만든
디지털 시계 소스프로그램 입니다

스톱워치 알람 타임
BCD를 7segment를 출력하기 위한 값으로 디코딩하기 위한 구문

프로그램 소스 모두 있어요
본문내용
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY digital_clock IS
PORT (
-- 10KHz 클럭입력
CLK : IN STD_LOGIC;
-- 모드 변환 버튼
SW_MODE : IN STD_LOGIC;
-- 설정 위치 변경 버튼
SW_F1 : IN STD_LOGIC;
-- 값 변경 버튼
SW_F2 : IN STD_LOGIC;
-- 7segment data
SEGMENT : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
-- 7segment 디스플레이 위치 지정
COMMON : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
-- 알람 설정 표시
ALARM_ON : OUT STD_LOGIC;
-- 알람 출력( LED로 표시한다.)
BEEP : OUT STD_LOGIC;
-- 모드 표시
LED_MODE : OUT STD_LOGIC_VECTOR (2 DOWNTO 0));
END digital_clock;
ARCHITECTURE A OF digital_clock IS
--10KHz의 클럭입력을 사용하여 1Hz와 100Hz의 클럭을 발생시킨다.
COMPONENT CLK_DIV
PORT (
CLK : IN STD_LOGIC;
CLK_1H : OUT STD_LOGIC;
CLK_100H : OUT STD_LOGIC
);
END COMPONENT;
--선택모드를 위한 설정.
COMPONENT WATCH
PORT (
CLK_1H : IN STD_LOGIC;
CLK_100H : IN STD_LOGIC;
SW_MODE : IN STD_LOGIC;
SW_F1 : IN STD_LOGIC;
SW_F2 : IN STD_LOGIC;
HOUR : OUT INTEGER RANGE 23 DOWNTO 0;
MINUTE : OUT INTEGER RANGE 59 DOWNTO 0;
SECOND : OUT INTEGER RANGE 59 DOWNTO 0;
SEC_HUN : OUT INTEGER RANGE 99 DOWNTO 0;
DIGIT_ON : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
ALARM_ON : OUT STD_LOGIC;
BEEP : OUT STD_LOGIC;
LED_MODE : OUT STD_LOGIC_VECTOR (2 DOWNTO 0));
END COMPONENT;
COMPONENT DETECT1
PORT(
NUMBER : IN INTEGER RANGE 23 DOWNTO 0;
SEG_TEN : OUT STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_ONE : OUT STD_LOGIC_VECTOR (6 DOWNTO 0));
END COMPONENT;
COMPONENT DETECT2
PORT(
NUMBER : IN INTEGER RANGE 59 DOWNTO 0;
SEG_TEN : OUT STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_ONE : OUT STD_LOGIC_VECTOR (6 DOWNTO 0));
END COMPONENT;
COMPONENT DETECT3
PORT(
NUMBER : IN INTEGER RANGE 99 DOWNTO 0;
SEG_TEN : OUT STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_ONE : OUT STD_LOGIC_VECTOR (6 DOWNTO 0));
END COMPONENT;
COMPONENT SEG_MODULE
PORT (
CLK : IN STD_LOGIC;
SEG_EN : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
SEG_8 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_7 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_6 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_5 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_4 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_3 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_2 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_1 : IN STD_LOGIC_VECTOR (6 DOWNTO 0);
SEG_OUT : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
COMMON : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));
END COMPONENT;
SIGNAL CLK_1H, CLK_100H : STD_LOGIC;
SIGNAL HOUR : INTEGER RANGE 23 DOWNTO 0;
SIGNAL MINUTE : INTEGER RANGE 59 DOWNTO 0;
SIGNAL SECOND : INTEGER RANGE 59 DOWNTO 0;
SIGNAL SEC_HUN : INTEGER RANGE 99 DOWNTO 0;
SIGNAL DIGIT_ON : STD_LOGIC_VECTOR (3 DOWNTO 0);
SIGNAL SEG_EN : STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL SEG_8 ,SEG_7 ,SEG_6 ,SEG_5 : STD_LOGIC_VECTOR (6 DOWNTO 0);
SIGNAL SEG_4 ,SEG_3 ,SEG_2 ,SEG_1 : STD_LOGIC_VECTOR (6 DOWNTO 0);
BEGIN
U0 : CLK_DIV
PORT MAP (
CLK => CLK,
CLK_1H => CLK_1H,
CLK_100H => CLK_100H);
U1 : WATCH
PORT MAP (
CLK_1H => CLK_1H,
CLK_100H => CLK_100H,
SW_MODE => SW_MODE,
SW_F1 => SW_F1,
SW_F2 => SW_F2,
HOUR => HOUR,
MINUTE => MINUTE,
자료평가
    아직 평가한 내용이 없습니다.
회원 추천자료
  • [전자공학] 디지털시계칩설계
  • 디지털 시계- 개 요 -주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. 아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 등이 필요하다. modulo-N 카운터의 종류는 6진 카운터, 10진 카운터, 12진

  • [디지털시스템]디지털시스템(디지털시계제작 전과정)
  • 디지털시스템 0조제목 : 디지털전자시계내용 : 제작과정 및 세부사항 설명소속 : 한성대학교 기계시스템공학성명 : 홍길동목 차회로도 보는 방법디지털회로의 기초저항 보는 방법세그먼트 세부설명디지털시계 제작 관련 세부설명1. 회로도 보는 방법조립하기 이전에 제일 먼저 해야 하는 부분이 회로도 보기입니다.절차중에서 제일 중요한 부분이기도 하기에 회로도에 관한 내용을 요약해 보았습니다.회로도는 회로의 동작을 다른 사람에

  • [전자공학]알테라(Altera) 를 이용한 디지털 시계 구현
  • 실험 보고서실험일자2004년 10월 25일실험자이광훈실험조10조공동실험자1. 실험목적⇒ 디지털 시계 구현을 통해 디지털 시스템 설계 능력을 배양하자.⇒ 규모가 있는 실제 응용회로 구현을 통해 simulation & verification의 중요성 이해.2. 사용 부품 및 계측기⇒ 알테라(Altera)3. 이론적 내용 및 모의실험① 카운터 설계 MOD-3 카운터왼쪽 그림은 MOD-3 카운터의 회로도이다.카운터의 동작은 입력단자 A,B,C,D = LOW, DN = HIGH, CLR = LOW를 입력하고 UP입력단에

  • 9장 VHDL 설계 툴 사용법 예비
  • VHDL 프로그램에 대하여 조사하고 설명하라.☞ VHDL(VHSIC Hardware Description Language)은 디지털 회로 및 혼합 신호(mixed-signal, 아날로그 신호 포함)를 표현하는 하드웨어 기술 언어이다. FPGA나 집적회로 등의 전자공학 회로를 처리하는설계 자동화에 사용한다.주로 디지털 회로 설계에 사용된다. 기존의 심볼에 의한 회로도 작성 대신 언어적 형태로 전자회로의기능을 표현한다. 아날로그 신호(mixed-signal)는 VHDL-AMS(VHDL Analog and Mixed-SignalExtensions)로 표현하나, 실

  • [마케팅원론] 닌텐도의 성공전략
  • 전자계산기에서 힌트를 얻어 1980년에 세계 최초로 휴대용 전자 게임기 게임&워치를 내놓았고, 83년 TV에 연결해 즐길 수 있는 가족용 게임기 패미콤을 개발했다. 패미콤은 출시 두 달 만에 전세계에 걸쳐 50만대 이상 팔렸고, 당시 내놓은 슈퍼 마리오는 지금까지 기종을 바꿔가며 인기를 끄는 최고의 게임 캐릭터가 됐다.이것이 놀이문화가 아날로그에서 디지털로 바뀐 계기가 됐다. 이후 닌텐도는 1990년 슈퍼패미콤, 닌텐도64, 게임큐브 등 일련

오늘 본 자료 더보기
  • 오늘 본 자료가 없습니다.
  • 저작권 관련 사항 정보 및 게시물 내용의 진실성에 대하여 레포트샵은 보증하지 아니하며, 해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용, 무단 전재·배포는 금지됩니다. 저작권침해, 명예훼손 등 분쟁요소 발견시 고객센터에 신고해 주시기 바랍니다.
    사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
    개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
    copyright (c) 2003 reoprtshop. steel All reserved.