VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계
- 등록일 / 수정일
- 페이지 / 형식
- 자료평가
- 구매가격
- 2006.04.07 / 2019.12.24
- 5페이지 / hwp (아래아한글2002)
- 평가한 분이 없습니다. (구매금액의 3%지급)
- 1,500원
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
1
2
3
4
5
추천 연관자료
- 하고 싶은 말
-
레포트 작성하시는데 좋은 참고자료가
됬으면 좋겠습니다.
각종 그림과 도표 및 시각자료로 잘 정리되어 있습니다.
열심히 작성한 만큼 보람도 많았던 자료입니다.
좋은 성적은 물론이구요. ^^
- 목차
-
1. 교통 신호 제어기(TLC)의 개요
2. 하위 레벨 성분의 VHDL모델링
3. 교통신호 제어 흐름도
- 본문내용
-
1. 교통 신호 제어기(TLC)의 개요
차량의 통행이 많은 교차로와 간선 도로 상의 차량의 흐름을 원활하게 하면서 동시에 차량이 많이 집중되어 있을 때에는 순차적인 통행을 보장하는 교통 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다. 설계하고자 하는 교통 신호 제어기의 동작을 좀 더 상세하게 기술하면 다음과 같다.
<그림>
가. 동시 신호의 3색등으로 구성되어 있어 남북방향의 신호등은 같은 신호를 가진다.
나. 교통 신호 제어기(TLC)는 외부 클럭 신호만 인가해주면 동작하는 회로이다.
다. 신호의 상태는 남북(이하 NS), 동서(이하 EW)로 표시하고, 신호의 상태는 NS - EW 의 신호로 표시한 다. 예를 들어 NS방향이 red 이고 EW방향이 green 이면 red-green 으로 신호의 상태를 표시.
라. red-green 신호가 5클럭을 유지하고, 한 클럭의 red-yellow, 그리고 5클럭의 green-red 가 유지된다.
마. 간선 도로의 신호등이 녹색으로 바뀐 뒤 적어도 일정시간(long_duration)동안은 녹색을 유지한다.
<신호등 제어 신호값 도표>
.
.
.
Library ieee; -- 라이브러리 선언부
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
entity traffic is -- I/O 선언부 input : clock 신호, output : light
port ( clock : in std_logic;
light : out std_logic_vector( 5 downto 0 ) );
end traffic;
architecture design of traffic is --변수 선언
NS_RNS_YNS_GEW_REW_YEW_G constant red_green : std_logic_vector( 5 downto 0):=" 1 0 0 0 0 1";
constant red_yellow : std_logic_vector( 5 downto 0):="1 0 0 0 1 0";
constant green_red : std_logic_vector( 5 downto 0):=" 0 0 1 1 0 0";
constant yellow_red : std_logic_vector( 5 downto 0):="0 1 0 1 0 0";
.
.
.
자료평가
-
아직 평가한 내용이 없습니다.