VHDL 모델링 및 합성을 통한 3색교통 신호 제어기 설계

  • 등록일 / 수정일
  • 페이지 / 형식
  • 자료평가
  • 구매가격
  • 2006.04.07 / 2019.12.24
  • 5페이지 / fileicon hwp (아래아한글2002)
  • 평가한 분이 없습니다. (구매금액의 3%지급)
  • 1,500원
다운로드장바구니
Naver Naver로그인 Kakao Kakao로그인
최대 20페이지까지 미리보기 서비스를 제공합니다.
자료평가하면 구매금액의 3%지급!
이전큰이미지 다음큰이미지
하고 싶은 말
레포트 작성하시는데 좋은 참고자료가

됬으면 좋겠습니다.

각종 그림과 도표 및 시각자료로 잘 정리되어 있습니다.

열심히 작성한 만큼 보람도 많았던 자료입니다.

좋은 성적은 물론이구요. ^^
목차
1. 교통 신호 제어기(TLC)의 개요
2. 하위 레벨 성분의 VHDL모델링
3. 교통신호 제어 흐름도
본문내용
1. 교통 신호 제어기(TLC)의 개요
차량의 통행이 많은 교차로와 간선 도로 상의 차량의 흐름을 원활하게 하면서 동시에 차량이 많이 집중되어 있을 때에는 순차적인 통행을 보장하는 교통 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다. 설계하고자 하는 교통 신호 제어기의 동작을 좀 더 상세하게 기술하면 다음과 같다.

<그림>

가. 동시 신호의 3색등으로 구성되어 있어 남북방향의 신호등은 같은 신호를 가진다.
나. 교통 신호 제어기(TLC)는 외부 클럭 신호만 인가해주면 동작하는 회로이다.
다. 신호의 상태는 남북(이하 NS), 동서(이하 EW)로 표시하고, 신호의 상태는 NS - EW 의 신호로 표시한 다. 예를 들어 NS방향이 red 이고 EW방향이 green 이면 red-green 으로 신호의 상태를 표시.
라. red-green 신호가 5클럭을 유지하고, 한 클럭의 red-yellow, 그리고 5클럭의 green-red 가 유지된다.
마. 간선 도로의 신호등이 녹색으로 바뀐 뒤 적어도 일정시간(long_duration)동안은 녹색을 유지한다.

<신호등 제어 신호값 도표>

.
.
.

Library ieee; -- 라이브러리 선언부
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
entity traffic is -- I/O 선언부 input : clock 신호, output : light
port ( clock : in std_logic;
light : out std_logic_vector( 5 downto 0 ) );
end traffic;
architecture design of traffic is --변수 선언
NS_RNS_YNS_GEW_REW_YEW_G constant red_green : std_logic_vector( 5 downto 0):=" 1 0 0 0 0 1";
constant red_yellow : std_logic_vector( 5 downto 0):="1 0 0 0 1 0";
constant green_red : std_logic_vector( 5 downto 0):=" 0 0 1 1 0 0";
constant yellow_red : std_logic_vector( 5 downto 0):="0 1 0 1 0 0";
.
.
.
자료평가
    아직 평가한 내용이 없습니다.
회원 추천자료
  • 실내건축산업기사 자격증 필기 대비 기출문제요약정리(2014~2023.1회)
  • 합성수지관배관 – 화학공장등사용 절연체.감점우려없음 기계적외상에취약 열적영향~?조명눈부심 – 광원가까울수록 휘도클수록 크기클수록 배경이어두울수록전시조명 – 전체조명은보행.메모에적당 조도휘도적당 전체와국부비율 ~이상 전시물대상고려철골조기둥 내화구조두께 – 철망모르타 최소7CM비상용승강 – 높이31M넘는 ~~~~피난계단구조 – 유효너비 창문등으로부터 60방화문 공.주최소환기(30세대이상) - 시간당0.5회 건물높이.층 – 난

  • [유통관리사시험] 유통관리사2급모의고사및2006년~2008년2차시험및핵심요약
  • 설계하는데있어서목표점으 .로잘못설명된것은?점포분위기는 점포의 이미지 및 전반적인 전략과 조화를 이 ①루어야 한다.② 표적고객을 파악하고 그들의 욕구를 충족시킬 수 있는 점포를 설계하여 전략과 점포이미지의 일관성을 제공하여야한다.성공적인 점포의 내부 디자인은 고객이 구매결정을 할 때 ③도움을 주어야 한다.점포설계의 비용적인 측면과 매출 및 이윤을 통한 가치획득 ④적인 측면은 관리측면에서는 고려하지 않아도 된다.48

  • 카오스의 어원 및 유래
  • 설계를 마치면 일생 동안 정해진 작업을 반복한다. 이제 이 하드웨어에 진화의 기능을 넣는 작업들이 진행되고 있다. 컴퓨터 칩이 장착된 후에 계속 상황에 맞게 기능을 바꾸어 가며 성장하는 모습을 상상해 보라. 어려울 것 같지만 이것도 이미 초보적인 단계에서 실현되고 있다. 기타 단백질의 3차원 구조 찾기, 퍼지 제어, 신경망 구축, 통신 네트워크 디자인, 재정적 예측, 교통 제어, 컴퓨터 에니메이션 등 기존의 방법으로 최적화가 용이하지 않은 거

  • 워드 프로세서 필기 도서 원고
  • 통한 네트워크 활동 타일 업데이트한다.시작프로그램 탭시작 프로그램 이름, 게시자, 상태, 시작 시 영향을 표시한다.사용자 탭현재 로그인 사용자 이름, 연결 끊기 등세부 정보 탭실행 중인 프로그램 이름, 사용자 이름, CPU이용률, 실제 메모리 사용 등서비스 탭서비스의 이름, 서비스 프로세스 ID, 서비스에 대한 설명 등(6) 시작 메뉴 및 작업 표시줄사용자 로고사용자 계정 유형/이름/그림 등을 변경할 수 있음문

  • [레포트] 인공지능..음성인식
  • 합성할수 있으며, 녹음 및 재생이 가능하다. 또한 단음절이 아닌 문장에 대한 음성 인식 알고리즘을 채용하고 있다.용도 * 900MHz 및 유무선 전화기의 음성 다이얼, No-touch 수신 및 녹음 기능 * 차량용 음성인식 Hand-Free Kit * 차량용 A/V 시스템 및 전장 컨트롤(Window 개폐 및 카오디오에 대한 음성 명령어) * 장난감 및 인형에 대한 음성 명령 기능 * 리모콘에 대한 음성 명령 기능(TV, VCR, 에어콘, 밥통, 전자렌지 기타) * 컴퓨터 게임기에 대한 음성 명령(조이

오늘 본 자료 더보기
  • 오늘 본 자료가 없습니다.
  • 저작권 관련 사항 정보 및 게시물 내용의 진실성에 대하여 레포트샵은 보증하지 아니하며, 해당 정보 및 게시물의 저작권과 기타 법적 책임은 자료 등록자에게 있습니다. 위 정보 및 게시물 내용의 불법적 이용, 무단 전재·배포는 금지됩니다. 저작권침해, 명예훼손 등 분쟁요소 발견시 고객센터에 신고해 주시기 바랍니다.
    사업자등록번호 220-06-55095 대표.신현웅 주소.서울시 서초구 방배로10길 18, 402호 대표전화.02-539-9392
    개인정보책임자.박정아 통신판매업신고번호 제2017-서울서초-1806호 이메일 help@reportshop.co.kr
    copyright (c) 2003 reoprtshop. steel All reserved.